Peer-Reviewed Publications and PrePrints

Selected Publications


[MICRO’23 (Top-Tier Conference) – Best Paper Candidate]   Faiz Alam^, Hyokeun Lee^, Abhishek Bhattacharjee and Amro Awad
CryptoMMU: Enabling Scalable and Secure Access Control of Third-Party Accelerators
IEEE/ACM International Symposium on Microarchitecture, 2023 (MICRO’23)

[HPCA’23 (Top-Tier Conference)]   Xijing Han, James Tuck and Amro Awad
Thoth: Bridging the Gap Between Persistently Secure Memories and Memory Interfaces of Emerging NVMs
IEEE International Symposium on High-Performance Computer Architecture, 2023 (HPCA’23)

[HPCA’23 (Top-Tier Conference)]   Rahaf Abdullah, Huiyang Zhou and Amro Awad
Plutus: Bandwidth-Efficient Memory Security for GPUs
IEEE International Symposium on High-Performance Computer Architecture, 2023 (HPCA’23)

[HPCA’23 (Top-Tier Conference)]   Md Hafizul Islam Chowdhuryy, Myoungsoo Jung, Fan Yao and Amro Awad
D-Shield: Enabling Processor-Side Encryption and Integrity Verification for Secure NVMe Drives
IEEE International Symposium on High-Performance Computer Architecture, 2023 (HPCA’23)

[MICRO’22 (Top-Tier Conference)]   Xijing Han, James Tuck and Amro Awad
Horus: Persistent Security for Extended Persistence-Domain Memory Systems
IEEE/ACM International Symposium on Microarchitecture, 2022 (MICRO’22)

[HPCA’22 (Top-Tier Conference)]   Kazi Abu Zubair, David Mohaisen and Amro Awad
Filesystem Encryption or Direct-Access for NVM Filesystems? Let’s Have Both!
IEEE International Symposium on High-Performance Computer Architecture, 2022 (HPCA’22)

[HPCA’22 (Top-Tier Conference)]   Shougang Yuan, Amro Awad, Ardhi Yudha, Yan Solihin and Huiyang Zhou
Adaptive Security Support for Heterogeneous Memory on GPUs
IEEE International Symposium on High-Performance Computer Architecture, 2022 (HPCA’22)

[MICRO’21 (Top-Tier Conference)]   Kazi Abu Zubair, Sudhanva Gurumurthi, Vilas Sridharan and Amro Awad
Soteria: Towards Resilient Integrity-Protected and Encrypted Non-Volatile Memories
IEEE/ACM International Symposium on Microarchitecture, 2021 (MICRO’21)

[MICRO’21 (Top-Tier Conference)]   Xijing Han, James Tuck and Amro Awad
Dolos: Improving the Performance of Persistent Applications in ADR-Supported Secure Memory
IEEE/ACM International Symposium on Microarchitecture, 2021 (MICRO’21)

[MICRO’21 (Top-Tier Conference)]   M. Chowdhuryy, M. Rashed, Amro Awad, Rickard Ewetz and Fan Yao
LADDER: Architecting Content and Location-aware Writes for Crossbar Resistive Memories
IEEE/ACM International Symposium on Microarchitecture, 2021 (MICRO’21)

[ICS’21 (Top-Tier Conference) – Best Paper Candidate]   Mazen Alwadi, David Mohaisen, and Amro Awad
ProMT: Optimizing Integrity Tree Updates for Write-Intensive Pages in Secure NVMs
ACM The 35th International Conference on Supercomputing (ICS)

[ISCA’21 (Top-Tier Conference)]   Xingbin Wang, Boyan Zhao, Rui Hou, Amro Awad, Zhihong Tian, Dan Meng
NASGuard: A Novel Accelerator Architecture for Robust NAS Networks
ACM/IEEE The 48th International Symposium on Computer Architecture (ISCA)

[HPCA’21 (Top-Tier Conference)]   Mazen Alwadi^, Vamsee Reddy Kommareddy^, Clayton Hughes, Simon Hammond, and Amro Awad
Stealth-Persist: Architectural Support for Persistent Applications in Hybrid Memory Systems
The 27th IEEE International Symposium on High-Performance Computer Architecture (HPCA-27)

[HPCA’21 (Top-Tier Conference)]   Vamsee Reddy Kommareddy, Clayton Hughes, Simon Hammond, and Amro Awad
DeACT: Architecture-Aware Virtual Memory Support for Fabric Attached Memory Systems
The 27th IEEE International Symposium on High-Performance Computer Architecture (HPCA-27)

[ISCA’20 (Top-Tier Conference)]   Jian Zhou, Amro Awad, and Jun Wang
Lelantus: Fine-Granularity Copy-On-Write Operations for Secure Non-Volatile Memories
ACM/IEEE The 47th International Symposium on Computer Architecture (ISCA)

[ICS’19 (Top-Tier Conference)]   Hussein Elnawawy, Rangeen Basu Roy Chowdhury, Amro Awad and Gregory Byrd
Diligent TLBs: A Mechanism for Exploiting Heterogeneity in TLB Miss Behavior
The ACM International Conference on Supercomputing (ICS)

[ISCA’19 (Top-Tier Conference)]   Kazi Abu Zubiar and Amro Awad
Anubis: Ultra-Low Overhead and Practical Recovery Time for Secure Non-Volatile Memories
ACM/IEEE The 46th International Symposium on Computer Architecture (ISCA)

[ISCA’19 (Top-Tier Conference)]   Amro Awad, Mao Ye, Yan Solihin, Laurent Njilla and Kazi Abu Zubair
Triad-NVM: Persistency for Integrity-Protected and Encrypted Non-Volatile Memories
ACM/IEEE The 46th International Symposium on Computer Architecture (ISCA)

[MICRO-2018 (Top-Tier Conference)]   Mao Ye, Clayton Hughes, and Amro Awad
Osiris: A Low-Cost Mechanism to Enable Restoration of Secure Non-Volatile Memories
The 51st IEEE/ACM International Symposium on Microarchitecture (MICRO’18)

[PACT-2017 (Top-Tier Conference)]   Amro Awad, Arkaprava Basu, Sergey Blagodurov, Yan Solihin and Gabriel H. Loh.
Avoiding TLB Shootdowns through Self-invalidating TLB Entries
The 26th International Conference on. Parallel Architectures and Compilation Techniques (PACT)

[ISCA 2017 (Top-Tier Conference)]   Amro Awad, Yipeng Wang, Deborah Shands and Yan Solihin.
ObfusMem: A Low-Overhead AccessObfuscation for Trusted Memories
ACM/IEEE The 44th International Symposium on Computer Architecture (ISCA)

[ICS 2016 (Top-Tier Conference)]   Amro Awad, Sergey Blagodurov and Yan Solihin.
Write-Aware Management of NVM-based Memory Extensions
The ACM International Conference on Supercomputing (ICS)

[ASPLOS-2016 (Top-Tier Conference)]   Amro Awad, Pratyusa Mandthata, Yan Solihin, Stuart Haber and William Horne.
Silent Shredder: Zero-Cost Shredding for Secure Non-Volatile Main Memory Controllers
The 21st International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)

[HPCA-2014 (Top-Tier Conference)]   Amro Awad, Yan Solihin.
STM : Cloning the Spatial and Temporal Memory Access Behavior
The 20th IEEE International Symposium on High Performance Computer Architecture (HPCA)

All Publications


2023


[MICRO’23 (Top-Tier Conference) – Best Paper Candidate]   Faiz Alam^, Hyokeun Lee^, Abhishek Bhattacharjee and Amro Awad
CryptoMMU: Enabling Scalable and Secure Access Control of Third-Party Accelerators
IEEE/ACM International Symposium on Microarchitecture, 2023 (MICRO’23)

[HPCA’23 (Top-Tier Conference)]   Xijing Han, James Tuck and Amro Awad
Thoth: Bridging the Gap Between Persistently Secure Memories and Memory Interfaces of Emerging NVMs
IEEE International Symposium on High-Performance Computer Architecture, 2023 (HPCA’23)

[HPCA’23 (Top-Tier Conference)]   Rahaf Abdullah, Huiyang Zhou and Amro Awad
Plutus: Bandwidth-Efficient Memory Security for GPUs
IEEE International Symposium on High-Performance Computer Architecture, 2023 (HPCA’23)

[HPCA’23 (Top-Tier Conference)]   Md Hafizul Islam Chowdhuryy, Myoungsoo Jung, Fan Yao and Amro Awad
D-Shield: Enabling Processor-Side Encryption and Integrity Verification for Secure NVMe Drives
IEEE International Symposium on High-Performance Computer Architecture, 2023 (HPCA’23)

2022


[MICRO’22 (Top-Tier Conference)]   Xijing Han, James Tuck and Amro Awad
Horus: Persistent Security for Extended Persistence-Domain Memory Systems
IEEE/ACM International Symposium on Microarchitecture, 2022 (MICRO’22)

[IEEE ISPASS’22]   Shubham Nema, Justin Kirschner, Debpratim Adak, Sapan Agarwal, Ben Feinberg, Arun Rodrigues, Matt Marinella, and Amro Awad
Eris: Fault Injection and Tracking Framework for Reliability Analysis of Open-Source Hardware
Proceedings of the 2022 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS 2022)

[IEEE VR’22 (Top-Tier Conference) – Best Paper Candidate]   ülkü Meteriz-Yildiran, Necip Fazil Yildiran, Amro Awad, and David Mohaisen
A Keylogging Inference Attack on Air-Tapping Keyboards
Proceedings of IEEE Conference on Virtual Reality and 3D User Interfaces (IEEE VR)

[DAC’22]   Mohammed Rashed, Amro Awad, Sumit Kumar Jha, and Rickard Ewetz
Towards Resilient Analog In-Memory Deep Learning via Data Layout Re-Organization
Design Automation Conference, 2022 (DAC’22)

[SAC’22]   John McFarland and Amro Awad
Transpose-Xen: Virtualized Mixed-Criticality through Dynamic Allocation
The 37th ACM/SIGAPP Symposium On Applied Computing, 2022 (SAC’22)

[IPDPS’22]   Mazen Alwadi, Rujia Wang, David Mohaisen, Clayton Hughes, Simon Hammond and Amro Awad
Minerva: Rethinking Secure Architectures for the Era of Fabric-Attached Memory Architectures
The 36th IEEE International Parallel and Distributed Processing Symposium, 2022 (IPDPS’22)

[HPCA’22 (Top-Tier Conference)]   Kazi Abu Zubair, David Mohaisen and Amro Awad
Filesystem Encryption or Direct-Access for NVM Filesystems? Let’s Have Both!
IEEE International Symposium on High-Performance Computer Architecture, 2022 (HPCA’22)

[HPCA’22 (Top-Tier Conference)]   Shougang Yuan, Amro Awad, Ardhi Yudha, Yan Solihin and Huiyang Zhou
Adaptive Security Support for Heterogeneous Memory on GPUs
IEEE International Symposium on High-Performance Computer Architecture, 2022 (HPCA’22)

[FPGA’22]   Rakin Shadab, Yu Zou, Sanjay Gandham, Amro Awad and Mingjie Lin
(Poster) HMT: A Hardware-Centric Hybrid Bonsai Merkle Tree Algorithm for High-Performance Authentication
ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), 2022

[HOST’22]   Yu Zou, Mingjie Lin and Amro Awad
HERMES: Hardware-Efficient Speculative Dataflow Architecture for Bonsai Merkle Tree-Based Memory Authentication
IEEE International Symposium on Hardware Oriented Security and Trust (HOST), 2022

[ACM TECS’22 (Impact Factor 1.53)]   Yu Zou, Kazi Abu Zubair, Mazen Alwadi, Rakin Mohammad Shadab, Sanjay Gandham, Amro Awad, and Mingjie Lin
ARES: Secure NVM with Processor-Transparent Integrity Verification And Metadata Recovery
ACM Transactions on Embedded Computing Systems (TECS)

2021 (^ indicates equal contributions)


[MICRO’21 (Top-Tier Conference)]   Kazi Abu Zubair, Sudhanva Gurumurthi, Vilas Sridharan and Amro Awad
Soteria: Towards Resilient Integrity-Protected and Encrypted Non-Volatile Memories
IEEE/ACM International Symposium on Microarchitecture, 2021 (MICRO’21)

[MICRO’21 (Top-Tier Conference)]   Xijing Han, James Tuck and Amro Awad
Dolos: Improving the Performance of Persistent Applications in ADR-Supported Secure Memory
IEEE/ACM International Symposium on Microarchitecture, 2021 (MICRO’21)

[MICRO’21 (Top-Tier Conference)]   M. Chowdhuryy, M. Rashed, Amro Awad, Rickard Ewetz and Fan Yao
LADDER: Architecting Content and Location-aware Writes for Crossbar Resistive Memories
IEEE/ACM International Symposium on Microarchitecture, 2021 (MICRO’21)

[SEED’21]   M. Chowdhuryy, Rickard Ewetz, Amro Awad and Fan Yao
Seeds of SEED: R-SAW- New Side Channels Exploiting Read Asymmetry in MLC Phase Change Memories
IEEE International Symposium on Secure and Private Execution Environment Design (SEED’21)

[IOTJ’21 (Impact Factor 9.5)]   Hisham Alasmary, Afsah Anwar, Ahmed Abusnaina, Abdulrahman Alabduljabbar, Mohammad Abuhamad, An Wang, DaeHun Nyang, Amro Awad, David Mohaisen
ShellCore: Automating Malicious IoT Software Detection by Using Shell Commands Representation
IEEE Internet of Things Journal (IOTJ)

[ICS’21 (Top-Tier Conference)– Best Paper Candidate]   Mazen Alwadi, David Mohaisen, and Amro Awad
ProMT: Optimizing Integrity Tree Updates for Write-Intensive Pages in Secure NVMs
ACM The 35th International Conference on Supercomputing (ICS)

[ACM TECS’21 (Impact Factor 1.53)]   Yu Zou, Amro Awad, and Mingjie Lin
DirectNVM: Hardware-Accelerated NVMe SSDs for High-Performance Embedded Computing
ACM Transactions on Embedded Computing Systems (TECS)

[ISCA’21 (Top-Tier Conference)]   Xingbin Wang, Boyan Zhao, Rui Hou, Amro Awad, Zhihong Tian, Dan Meng
NASGuard: A Novel Accelerator Architecture for Robust NAS Networks
ACM/IEEE The 48th International Symposium on Computer Architecture (ISCA)

[IEEE VR’21 (Top-Tier Conference)]   Abdullah Arafat, Zhishan Guo, and Amro Awad
VR-Spy: A Side-Channel Attack on Virtual Key-Logging in VR Headsets
The IEEE Conference on Virtual Reality and 3D User Interfaces (IEEE VR) 2021

[DATE’21]   Fengkai Yuan , Kai Wang , Rui Hou , Xiaoxin Li , Peinan Li , Lutan Zhao , Jiameng Ying , Amro Awad , Dan Meng
PiPoMonitor: Mitigating Cross-Core Cache Attacks Using the Auto-Cuckoo Filter
In 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE)

[HPCA’21 (Top-Tier Conference)]   Mazen Alwadi^, Vamsee Reddy Kommareddy^, Clayton Hughes, Simon Hammond, and Amro Awad
Stealth-Persist: Architectural Support for Persistent Applications in Hybrid Memory Systems
The 27th IEEE International Symposium on High-Performance Computer Architecture (HPCA-27)

[HPCA’21 (Top-Tier Conference)]   Vamsee Reddy Kommareddy, Clayton Hughes, Simon Hammond, and Amro Awad
DeACT: Architecture-Aware Virtual Memory Support for Fabric Attached Memory Systems
The 27th IEEE International Symposium on High-Performance Computer Architecture (HPCA-27)

2020


[CAL’20 (Impact Factor 1.1)]   Yuezhi Che, Yuanzhou Yang, Amro Awad, and Rujia Wang
A Lightweight Memory Access Pattern Obfuscation Framework for NVM
IEEE Computer Architecture Letters (CAL)

[JETC’20 (Impact Factor 1.65)]   Qutaiba Alasad, Jie Lin, Jiann-Shuin Yuan, and Amro Awad
Resilient and Secure Hardware Devices Using ASL
ACM Journal on Emerging Technologies in Computing Systems (JETC)

[TDSC’20 (Impact Factor 6.4)]   Mazen Al-Wadi, Kazi Abu Zubair, Aziz Mohaisen, and Amro Awad
Phoenix: Towards Ultra-Low Overhead, Recoverable, and Persistently Secure NVM
IEEE Transactions on Dependable and Secure Computing (TDSC)

[MEMSYS’20]   Vamsee Reddy Kommareddy, Jagadish Kotra, Simon David Hammond, Clayton Hughes, and Amro Awad
PreFAM: Understanding the Impact of Prefetching in Fabric-Attached Memory Architectures
The International Symposium on Memory Systems (MemSys)

[EAI SESA]   Mazen Al-Wadi and Amro Awad
Caching Techniques for Security Metadata in Integrity-Protected Fabric-Attached Memories
EAI Endorsed Transactions on Security and Safety

[arXiv:2008.00171]   Vamsee Reddy Kommareddy, Clayton Hughes, Simon David Hammond, Amro Awad
DeACT: Architecture-Aware Virtual Memory Support for Fabric Attached Memory Systems
Archival e-Print (ArXiv)

[ISCA’20 (Top-Tier Conference)]   Jian Zhou, Amro Awad, and Jun Wang
Lelantus: Fine-Granularity Copy-On-Write Operations for Secure Non-Volatile Memories
ACM/IEEE The 47th International Symposium on Computer Architecture (ISCA)

[SAC’20]   Zhishan Guo, Kecheng Yang, Fan Yao, and Amro Awad
Inter-Task Cache Interference Aware Partitioned Real-Time Scheduling
The 35th ACM/SIGAPP Symposium On Applied Computing (SAC)

[NVMW’20]   Kazi Abu Zubair and Amro Awad
Ensuring Fast Crash Recovery for Secure NVMs
The 11th Annual Non-volatile Memories Workshop (NVMW)

2019


[arXiv:1911.01922]   Mazen Alwadi, Aziz Mohaisen and Amro Awad
Phoenix: Towards Persistently Secure, Recoverable, and NVM Friendly Tree of Counters
Archival e-Print (ArXiv)

[CAL’19 (Impact Factor 1.15)]   Vamsee Reddy Kommareddy, Baogang Zhang, Fan Yao, Rickard Ewetz, and Amro Awad
Are Crossbar Memories Secure? New Security Vulnerabilities in Crossbar Memories
IEEE Computer Architecture Letters (CAL)

[MEMSYS’19]   Vamsee Reddy Kommareddy, Simon David Hammond, Clayton Hughes, Ahmad Samih, and Amro Awad
Page Migration Support for Disaggregated Non-Volatile Memories
The International Symposium on Memory Systems (MemSys)

[TDSC’19 (Impact Factor 6.4)]   Mao Ye, Kazi Abu Zubair, Aziz Mohaisen, and Amro Awad
Towards Low-Cost Mechanisms to Enable Restoration of Encrypted Non-Volatile Memories
IEEE Transactions on Dependable and Secure Computing (TDSC)

[IOTJ’19 (Impact Factor 9.5)]   Hisham Alasmary, Aminollah Khormal, Afsah Anwar, Jeman Park, Jinchun Choi, Ahmed Abusnaina, Amro Awad, DaeHun Nyang, and Aziz Mohaisen
Analyzing and Detecting Emerging Internet of Things Malware: A Graph-based Approach
IEEE Internet of Things Journal (IOTJ)

[ISVLSI’19 (Invited)]   Amro Awad, Suboh Suboh, Kazi Abu Zubair, Mao Ye and Mazen Al-Wadi
Persistently-Secure Processors: Challenges and Opportunities for Securing Non-Volatile Memories
IEEE Computer Society Annual Symposium on VLSI (ISVLSI)

[ISVLSI’19 (Invited)]   Vamsee Reddy Kommareddy, Clayton Hughes, Simon David Hammond and Amro Awad
Investigating Fairness in Disaggregated Non-Volatile Memories
IEEE Computer Society Annual Symposium on VLSI (ISVLSI)

[ICS’19 (Top-Tier Conference)]   Hussein Elnawawy, Rangeen Basu Roy Chowdhury, Amro Awad and Gregory Byrd
Diligent TLBs: A Mechanism for Exploiting Heterogeneity in TLB Miss Behavior
The ACM International Conference on Supercomputing (ICS)

[ISCA’19 (Top-Tier Conference)]   Kazi Abu Zubiar and Amro Awad
Anubis: Ultra-Low Overhead and Practical Recovery Time for Secure Non-Volatile Memories
ACM/IEEE The 46th International Symposium on Computer Architecture (ISCA)

[ISCA’19 (Top-Tier Conference)]   Amro Awad, Mao Ye, Yan Solihin, Laurent Njilla and Kazi Abu Zubair
Triad-NVM: Persistency for Integrity-Protected and Encrypted Non-Volatile Memories
ACM/IEEE The 46th International Symposium on Computer Architecture (ISCA)

[TCAD’19 (Impact Factor 2.4)]   Shaahin Angizi, Zhezhi He, Amro Awad and Deliang Fan
MRIMA: An MRAM-based In-Memory Accelerator
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)

[ISORC’19]   Andrew Hughes and Amro Awad
Quantifying Performance Determinism in Virtualized Mixed-Criticality Systems
The 22nd IEEE International Symposium on Real-Time Computing (ISORC)

[ASAD@HPCA’19]   Nicholas Omusi (Presenter), Andrew Hughes, and Amro Awad
Exploration of Cache and Memory Bandwidth Allocation for Real-Time Systems
Workshop on Architectures and Systems for Autonomous Devices (ASAD-2019), workshop co-held with HPCA 2019

[ASAD@HPCA’19]   Andrew Hughes (Presenter) , and Amro Awad
Impact of Virtualization on Mixed-Criticality Systems
Workshop on Architectures and Systems for Autonomous Devices (ASAD-2019), workshop co-held with HPCA 2019

[SecArch@HPCA’19 (Keynote Speaker)]   Amro Awad
Persistent Security: Rethinking Secure Processor Design in the Era of Persistent Memories
Built-in Security: Architecture, Chip and System, workshop co-held with HPCA 2019

[MULTIPROG@HiPEAC’19]   Vamsee R. Kommareddy, Clayton Hughes, Simon Hammond and Amro Awad
Enforcing Fairness in Disaggregated Non-Volatile Memory Systems
Twelfth International Workshop on Programmability and Architectures for Heterogeneous Multicores (MULTIPROG-2019), co-held with HiPEAC’19

2018


[arXiv:1810.09438]   Amro Awad, Laurent Njilla and Mao Ye
Triad-NVM: Persistent-Security for Integrity-Protected and Encrypted Non-Volatile Memories (NVMs)
Archival e-Print (ArXiv)

[MCHPC@SC’18]   Vamsee R. Kommareddy, Clayton Hughes, Simon Hammond and Amro Awad
Exploring Allocation Policies in Disaggregated Non-Volatile Memories
Workshop on Memory Centric High Performance Computing, co-located with SC’18

[MICRO-2018 (Top-Tier Conference)]   Mao Ye, Clayton Hughes, and Amro Awad
Osiris: A Low-Cost Mechanism to Enable Restoration of Secure Non-Volatile Memories
The 51st IEEE/ACM International Symposium on Microarchitecture (MICRO’18)

[SIAM-2018]   Clayton Hughes, Amro Awad, Simon David Hammond, Arun F. Rodrigues, Karl Scott Hemmert, Robert J. Hoekstra
Analyzing Exascale Memory Architectures Using the SST Toolkit
The 18th SIAM Conference on Parallel Processing for Scientific Computing

2017


[MEMSYS-2017]   Amro Awad, Simon Hammond, Clayton Hughes, Arun Rodrigues, Scott Hemmert, and Robert Hoekstra.
Performance Analysis for Using Non-Volatile Memory DIMMs: Opportunities and Challenges
The International Symposium on Memory Systems (MemSys)

[PACT-2017 (Top-Tier Conference)]   Amro Awad, Arkaprava Basu, Sergey Blagodurov, Yan Solihin and Gabriel H. Loh.
Avoiding TLB Shootdowns through Self-invalidating TLB Entries
The 26th International Conference on. Parallel Architectures and Compilation Techniques (PACT)

[ISCA 2017 (Top-Tier Conference)]   Amro Awad, Yipeng Wang, Deborah Shands and Yan Solihin.
ObfusMem: A Low-Overhead AccessObfuscation for Trusted Memories
ACM/IEEE The 44th International Symposium on Computer Architecture (ISCA)

[ISPASS 2017]   Yipeng Wang, Amro Awad and Yan Solihin.
Clone morphing: Creating new workload behavior from existing applications
IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)

2016


[T-SLDM 2016]   Amro Awad, Ganesh Balakrishnan, Yipeng Wang and Yan Solihin.
Accurate Cloning of the Memory Access Behavior
IPSJ Transactions on System LSI Design Methodology (T-SLDM)

[ICS 2016 (Top-Tier Conference)]   Amro Awad, Sergey Blagodurov and Yan Solihin.
Write-Aware Management of NVM-based Memory Extensions
The ACM International Conference on Supercomputing (ICS)

[ASPLOS-2016 (Top-Tier Conference)]   Amro Awad, Pratyusa Mandthata, Yan Solihin, Stuart Haber and William Horne.
Silent Shredder: Zero-Cost Shredding for Secure Non-Volatile Main Memory Controllers
The 21st International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)

2015


[ISPASS 2015]   Amro Awad, Brett Kettering and Yan Solihin.
Non-Volatile Memory Host Controller Interfaces Performance Analysis in High-Performance I/O Systems
IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)

2014


[HPCA-2014 (Top-Tier Conference)]   Amro Awad, Yan Solihin.
STM : Cloning the Spatial and Temporal Memory Access Behavior
The 20th IEEE International Symposium on High Performance Computer Architecture (HPCA)

2011


[URC 2011]   Amro Awad, Hatem S. Mashaqi, Abdirahman S. Alasow, and Ahmad T. Al-Hammouri.
Undoing sending an E-mail
Undergraduate Research Conference (URC)

Technical Reports

[SAND2018-9199]   Opal: A Centralized Memory Manager for Investigating Disaggregated Memory Systems
Vamsee R. Kommareddy, Clayton Hughes, Simon David Hammond, Amro Awad.

[LA-UR-14-29318]   Lifetime Asymmetry when using STT-RAM as Last-Level Cache
Amro Awad, Brett Kettering, Yan Solihin.

Patents

STM Cloning Method
15/044,044

Silent Shredder
15/053308, 15/053320, 15/050632

Obfusmem
62/490,666

Wear-Limiting in Non-Volatile Memories
15/267092

(Contributed to) Self-Invalidating TLB Entries
15/361,335